Progress to Multi-Terabit per inch bit patterning and more reported at 2011 SPIE conference

Progress toward 1 Terabit/inch2 bit patterned media by Seagate and partners

We will present our recent progress in 1 Terabit/in.2 BPM fabrication. We will report a novel strategy to integrate directed self-assembly of block copolymer (BCP) with nano-imprint lithography for >1 Terabit/in.2 template fabrication. A concentric full track disk template at an areal density of 1 Terabit/in.2 has been demonstrated for the first time. This full-track template with pillar-tone dot features was fabricated on a 6″ quartz substrate by combining rotating e-beam lithography with imprint lithography and BCP process. 1 Terabit/in.2 hole-tone resist dot pattern with good size uniformity and position was formed on a disk using UV imprint lithography. A reverse-tone process was used to create the thin hard mask layer that is needed in the following dry etch process to form 1 Terabit/in.2 magnetic dots. We will present the preliminary results on size sigma and positioning accuracy, magnetic sigma, and spinstand recording test. Several key challenges will be addressed, such as defect reduction in the template fabrication, servo pattern integration, and the improvement of magnetic signal uniformity.

E-beam directed self-assembly and imprint lithography for patterned magnetic media

Patterned bit media is not expected in the disk drive manufacturing until densities of 1 Tb/in2 or greater. Because of the tighter distribution of island sizes, e-beam lithographically guided self assembly of PS-b-PMMA is shown to narrow the island size distribution and the magnetic switching fields of patterned magnetic bits over bits patterned by e-beam lithography alone. The application of e-beam directed self assembly to fabricating imprint templates and imprinted patterned media is demonstrated at densities up to 1 Tb/in2.

Nanoimprint process for 2.5Tb/in2 bit patterned media fabricated by self-assembling method

To realize bit patterned media (BPM), which is high-density magnetic recording media, a low-cost fabrication method for sub-10nm dot array is required. A nanoimprint process is a solution for this requirement. The BPM etching mask is replicated by the nanoimprint process with polymer and mold. The dot pitch of the data track is 17nm, which corresponds to the areal density of 2.5Tb/in2. Such a dot pattern on the nanoimprint mold is formed with directed self-assembling (DSA) technology. In this paper, the mold and the mask are compared and discussed. This work was partly supported by NEDO.

High-density patterned media fabrication using jet and flash imprint lithography

The introduction of patterned media technology is targeted to enable future generations of hard disk drives. Recent work on bit pattern media (BPM) demonstrated that storage densities of 1 Tb/inch2 can be achieved. Implementation of this technology will require industrial-scale lithography at unprecedented levels of feature resolution, pattern precision, and cost efficiency. As an intermediate approach, discrete track recording (DTR), with relaxed resolution and dimensional uniformity requirements, is also being developed. The process experience gained through the development of DTR is valuable for establishing the infrastructure necessary for BPM technology. In this paper, the key process steps for using J-FIL for the fabrication of high density pattern media are reviewed.

Fabrication of chevron patterns for patterned media with block copolymer directed self assembly

As block copolymer directed assembly continues to make progress into the fabrication of bit patterned media templates for magnetic recording hard disk drives, the need to demonstrate compatibility of self assembly with servo features and other sector header patterns becomes more compelling. Here we investigated the approach of using block copolymer directed assembly with density multiplication into chevron structures on chemically patterned surfaces to demonstrate the construction of basic building block patterns useful for sector header patterns. We demonstrated that lamellar-phase block copolymers could be directed to assemble into chevron shapes with predefined angles ranging from 20º to 90º. The width of disordered regions at the apex of chevrons can be minimized by optimal design of the guiding patterns. Disordered regions as narrow as one or two periods of the block copolymer pattern have been shown.

If you liked this article, please give it a quick review on ycombinator or StumbleUpon. Thanks