Electron Beam Lithography Status

EETimes looks at direct write lithography with electron beam machines

* Based on the length of time it has historically taken for each new lithography technology to move from proof-of-concept to production, e-beam direct-write lithography tools will be available no sooner than 2015, according to Kurt Ronse, lithography department director at nanoelectronics research center IMEC

* Ronse recommended ebeam groups working on 16nm/22nm node initially apply their technology to mask-writing tools—where throughput requirement would not be so arduous—as a shorter term, intermediate step

* direct-write lithography, could potentially reduce or remove the need for photomasks, which are get twice as expensive at each node

*Current ebeam litho speed – writing a single 300-mm wafer at 60-nm half pitch still takes 20 hours

* Projection Mask-Less Lithography (PLM2) technology has a goal of building systems that offer 256,000 programmable electron multi-beams of 50 keV energy

* Mapper ebeam throughput goal for its tools of 10 wafers per hour and then cluster 10 tools together in a system that can write 100 wafers per hour. Mapper’s tools feature 110 electron beams that can be individually switched on and off by means of an optical blanker array and they will use a movable stage.

Mapper and other ebeam litho players and technology were discussed here before

Ebeam Players
Mapper Lithography BV
IMS Nanofabrication AG
the eBeam Initiative, a consortium of more than 25 companies headed by Direct2Silicon Inc
KLA-Tencor Corp
Micronic Laser Systems AB
Vistec Electron Beam Lithography Group and Tokyo Electronic Ltd., government-backed research and universities.

Advertising

Trading Futures  
  Nano Technology  
  Netbook    
Technology News  
  Computer Software
    Future Predictions